extended error messages
[swftools.git] / lib / as3 / parser.y
index 8da6dd0..600c54c 100644 (file)
@@ -31,6 +31,7 @@
 #include "registry.h"
 #include "code.h"
 #include "opcodes.h"
+#include "compiler.h"
 
 extern int a3_lex();
 
@@ -69,7 +70,7 @@ extern int a3_lex();
 }
 
 
-%token<id> T_IDENTIFIER
+%token<id> T_IDENTIFIER T_NAMESPACE
 %token<str> T_STRING
 %token<regexp> T_REGEXP
 %token<token> T_EMPTY
@@ -138,6 +139,7 @@ extern int a3_lex();
 %token<token> KW_IN "in"
 %token<token> KW_AS "as"
 
+%token<token> T_DICTSTART "{ (dictionary)"
 %token<token> T_EQEQ "=="
 %token<token> T_EQEQEQ "==="
 %token<token> T_NE "!="
@@ -150,6 +152,7 @@ extern int a3_lex();
 %token<token> T_MULBY "*="
 %token<token> T_PLUSBY "+=" 
 %token<token> T_MINUSBY "-="
+%token<token> T_XORBY "^="
 %token<token> T_SHRBY ">>="
 %token<token> T_SHLBY "<<="
 %token<token> T_USHRBY ">>>="
@@ -185,7 +188,7 @@ extern int a3_lex();
 %type <value> CONSTANT
 %type <code> FOR FOR_IN IF WHILE DO_WHILE MAYBEELSE BREAK RETURN CONTINUE TRY 
 %type <value> INNERFUNCTION
-%type <token> USE_NAMESPACE
+%type <code> USE_NAMESPACE
 %type <code> FOR_INIT
 %type <code> IMPORT
 %type <classinfo> MAYBETYPE
@@ -199,8 +202,8 @@ extern int a3_lex();
 %type <classinfo_list> IMPLEMENTS_LIST
 %type <classinfo> EXTENDS
 %type <classinfo_list> EXTENDS_LIST
-%type <classinfo> CLASS PACKAGEANDCLASS QNAME
-%type <classinfo_list> QNAME_LIST
+%type <classinfo> CLASS PACKAGEANDCLASS CLASS_SPEC
+%type <classinfo_list> CLASS_SPEC_LIST
 %type <classinfo> TYPE
 //%type <token> VARIABLE
 %type <value> VAR_READ
@@ -208,7 +211,7 @@ extern int a3_lex();
 //%type <token> T_IDENTIFIER
 %type <token> MODIFIER
 %type <value> FUNCTIONCALL
-%type <value_list> MAYBE_EXPRESSION_LIST EXPRESSION_LIST MAYBE_PARAM_VALUES MAYBE_EXPRPAIR_LIST EXPRPAIR_LIST
+%type <value_list> MAYBE_EXPRESSION_LIST EXPRESSION_LIST EXPRESSION_LIST_AND_COMMA MAYBE_PARAM_VALUES MAYBE_EXPRPAIR_LIST EXPRPAIR_LIST
 
 // precedence: from low to high
 
@@ -235,18 +238,21 @@ extern int a3_lex();
 %left plusplus_prefix minusminus_prefix '~' '!' "void" "delete" "typeof" //FIXME: *unary* + - should be here, too
 %left "--" "++" 
 %nonassoc below_curly
-%left '[' ']' '{' "new" '.' ".." "::"
-%nonassoc T_IDENTIFIER
+
+%left '('
+%left new2
+%left '[' ']' "new" '{' "{ (dictionary)" '.' ".." "::" '@'
+
+%left T_IDENTIFIER
 %left above_identifier
 %left below_else
 %nonassoc "else"
-%left '('
 
 // needed for "return" precedence:
 %nonassoc T_STRING T_REGEXP
 %nonassoc T_INT T_UINT T_BYTE T_SHORT T_FLOAT
 %nonassoc "false" "true" "null" "undefined" "super" "function"
-%nonassoc above_function
+%left above_function
 
 
      
@@ -288,20 +294,25 @@ typedef struct _import {
 
 DECLARE_LIST(import);
 
+DECLARE(methodstate);
+DECLARE_LIST(methodstate);
+
 typedef struct _classstate {
     /* class data */
     classinfo_t*info;
     abc_class_t*abc;
-    code_t*init;
-    code_t*static_init;
+   
+    methodstate_t*init;
+    methodstate_t*static_init;
+    //code_t*init;
+    //code_t*static_init;
+
     char has_constructor;
 } classstate_t;
 
-DECLARE_LIST(methodstate);
-
-typedef struct _methodstate {
+struct _methodstate {
     /* method data */
-    memberinfo_t*info;
+    methodinfo_t*info;
     char late_binding;
     char is_constructor;
     char has_super;
@@ -312,10 +323,11 @@ typedef struct _methodstate {
     abc_method_t*abc;
     int var_index; // for inner methods
 
+    code_t*header;
     abc_exception_list_t*exceptions;
     
     methodstate_list_t*innerfunctions;
-} methodstate_t;
+};
 
 typedef struct _state {
     struct _state*old;
@@ -339,6 +351,7 @@ typedef struct _global {
     abc_file_t*file;
     abc_script_t*init;
     dict_t*token2info;
+    dict_t*file2token2info;
 } global_t;
 
 static global_t*global = 0;
@@ -349,13 +362,15 @@ DECLARE_LIST(state);
 #define MULTINAME(m,x) \
     multiname_t m;\
     namespace_t m##_ns;\
-    registry_fill_multiname(&m, &m##_ns, x);
+    (x)->package; \
+    registry_fill_multiname(&m, &m##_ns, (slotinfo_t*)(x));
                     
 #define MEMBER_MULTINAME(m,f,n) \
     multiname_t m;\
     namespace_t m##_ns;\
     if(f) { \
-        m##_ns = flags2namespace(f->flags, ""); \
+        m##_ns.access = (f)->access; \
+        m##_ns.name = ""; \
         m.type = QNAME; \
         m.ns = &m##_ns; \
         m.namespace_set = 0; \
@@ -445,7 +460,7 @@ static void old_state()
         free(leaving->method);
         leaving->method=0;
     }
-    if(leaving->cls && leaving->cls != state->cls) {
+    if(as3_pass>1 && leaving->cls && leaving->cls != state->cls) {
         free(leaving->cls);
         leaving->cls=0;
     }
@@ -453,13 +468,37 @@ static void old_state()
     state_destroy(leaving);
 }
 
+static code_t* method_header(methodstate_t*m);
+static code_t* wrap_function(code_t*c,code_t*header, code_t*body);
+static void function_initvars(methodstate_t*m, params_t*params, int flags);
+
+
+static char* internal_filename_package = 0;
 void initialize_file(char*filename)
 {
+    if(state) {
+        syntaxerror("invalid call to initialize_file during parsing of another file");
+    }
     new_state();
-    state->package = filename;
+    state->package = internal_filename_package = strdup(filename);
     
-    state->method = rfx_calloc(sizeof(methodstate_t));
-    state->method->variable_count = 1;
+    global->token2info = dict_lookup(global->file2token2info, 
+                                     current_filename // use long version
+                                    );
+    if(!global->token2info) {
+        global->token2info = dict_new2(&ptr_type);
+        dict_put(global->file2token2info, current_filename, global->token2info);
+    }
+  
+    if(as3_pass==1) {
+        state->method = rfx_calloc(sizeof(methodstate_t));
+        dict_put(global->token2info, (void*)(ptroff_t)as3_tokencount, state->method);
+    } else {
+        state->method = dict_lookup(global->token2info, (void*)(ptroff_t)as3_tokencount);
+        function_initvars(state->method, 0, 0);
+        global->init = abc_initscript(global->file);
+        state->method->late_binding = 1; // init scripts use getglobalscope, so we need a getlocal0/pushscope
+    }
 }
 
 void finish_file()
@@ -467,6 +506,15 @@ void finish_file()
     if(!state || state->level!=1) {
         syntaxerror("unexpected end of file in pass %d", as3_pass);
     }
+
+    if(as3_pass==2) {
+        code_t*header = method_header(state->method);
+        code_t*c = wrap_function(header, 0, global->init->method->body->code);
+        global->init->method->body->code = c;
+        free(state->method);state->method=0;
+    }
+
+    //free(state->package);state->package=0; // used in registry
     state_destroy(state);state=0;
 }
 
@@ -475,24 +523,16 @@ void initialize_parser()
     global = rfx_calloc(sizeof(global_t));
     global->file = abc_file_new();
     global->file->flags &= ~ABCFILE_LAZY;
-    global->token2info = dict_new2(&ptr_type);
-    
-    global->init = abc_initscript(global->file);
-    code_t*c = global->init->method->body->code;
-    c = abc_getlocal_0(c);
-    c = abc_pushscope(c);
-    global->init->method->body->code = c;
+    global->file2token2info = dict_new();
+    global->token2info = 0;
 }
 
 void* finish_parser()
 {
-    code_t*c = global->init->method->body->code;
-    /*c = abc_findpropstrict(c, "[package]::trace");
-      c = abc_pushstring(c, "[leaving global init function]");
-      c = abc_callpropvoid(c, "[package]::trace", 1);*/
-    c = abc_returnvoid(c);
-    global->init->method->body->code = c;
-    dict_destroy(global->token2info);global->token2info=0;
+    dict_free_all(global->file2token2info, 1, (void*)dict_destroy);
+
+    global->token2info=0;
+
     return global->file;
 }
 
@@ -625,6 +665,48 @@ code_t* var_block(code_t*body)
     return c;
 }
 
+#define parserassert(b) {if(!(b)) parsererror(__FILE__, __LINE__,__func__);}
+
+static void parsererror(const char*file, int line, const char*f)
+{
+    syntaxerror("internal error in %s, %s:%d", f, file, line);
+}
+
+   
+static code_t* method_header(methodstate_t*m)
+{
+    code_t*c = 0;
+    if(m->late_binding && !m->inner) {
+        c = abc_getlocal_0(c);
+        c = abc_pushscope(c);
+    }
+    /*if(m->innerfunctions) {
+        c = abc_newactivation(c);
+        c = abc_pushscope(c);
+    }*/
+    methodstate_list_t*l = m->innerfunctions;
+    while(l) {
+        parserassert(l->methodstate->abc);
+        c = abc_newfunction(c, l->methodstate->abc);
+        c = abc_setlocal(c, l->methodstate->var_index);
+        free(l->methodstate);l->methodstate=0;
+        l = l->next;
+    }
+    if(m->header) {
+        c = code_append(c, m->header);
+        m->header = 0;
+    }
+    if(m->is_constructor && !m->has_super) {
+        // call default constructor
+        c = abc_getlocal_0(c);
+        c = abc_constructsuper(c, 0);
+    }
+    list_free(m->innerfunctions);
+    m->innerfunctions = 0;
+    return c;
+}
+    
+
 static code_t* wrap_function(code_t*c,code_t*header, code_t*body)
 {
     c = code_append(c, header);
@@ -654,30 +736,69 @@ static void endpackage()
     old_state();
 }
 
-#define _TRACE_ {printf("vfw: %s: %d (%s)\n",__FILE__,__LINE__,__func__);fflush(stdout);}
-#define parserassert(b) {if(!(b)) parsererror(__FILE__, __LINE__,__func__);}
+#define FLAG_PUBLIC 256
+#define FLAG_PROTECTED 512
+#define FLAG_PRIVATE 1024
+#define FLAG_PACKAGEINTERNAL 2048
+#define FLAG_NAMESPACE 4096
 
-static void parsererror(const char*file, int line, const char*f)
+static int flags2access(int flags)
 {
-    syntaxerror("internal error in %s, %s:%d", f, file, line);
+    int access = 0;
+    if(flags&FLAG_PUBLIC)  {
+        if(access&(FLAG_PRIVATE|FLAG_PROTECTED|FLAG_PACKAGEINTERNAL)) 
+            syntaxerror("invalid combination of access levels");
+        access = ACCESS_PACKAGE;
+    } else if(flags&FLAG_PRIVATE) {
+        if(access&(FLAG_PUBLIC|FLAG_PROTECTED|FLAG_PACKAGEINTERNAL)) 
+            syntaxerror("invalid combination of access levels");
+        access = ACCESS_PRIVATE;
+    } else if(flags&FLAG_PROTECTED) {
+        if(access&(FLAG_PUBLIC|FLAG_PRIVATE|FLAG_PACKAGEINTERNAL)) 
+            syntaxerror("invalid combination of access levels");
+        access = ACCESS_PROTECTED;
+    } else {
+        access = ACCESS_PACKAGEINTERNAL;
+    }
+    return access;
+}
+
+static void function_initvars(methodstate_t*m, params_t*params, int flags)
+{
+    if(m->inner)
+        new_variable("this", 0, 0);
+    else if(!m->is_global)
+        new_variable((flags&FLAG_STATIC)?"class":"this", state->cls?state->cls->info:0, 0);
+    else
+        new_variable("globalscope", 0, 0);
+
+    if(params) {
+        param_list_t*p=0;
+        for(p=params->list;p;p=p->next) {
+            new_variable(p->param->name, p->param->type, 0);
+        }
+    }
+    
+    methodstate_list_t*l = m->innerfunctions;
+    while(l) {
+        methodstate_t*m = l->methodstate;
+        m->var_index = new_variable(m->info->name, TYPE_FUNCTION(m->info), 0);
+        l = l->next;
+    }
 }
 
 
 char*as3_globalclass=0;
-static void startclass(int flags, char*classname, classinfo_t*extends, classinfo_list_t*implements, char interface)
+static void startclass(int flags, char*classname, classinfo_t*extends, classinfo_list_t*implements)
 {
     if(state->cls) {
         syntaxerror("inner classes now allowed"); 
     }
     new_state();
-    state->cls = rfx_calloc(sizeof(classstate_t));
-    state->method = rfx_calloc(sizeof(methodstate_t)); // method state, for static constructor
-    state->method->variable_count = 1;
-
     token_list_t*t=0;
     classinfo_list_t*mlist=0;
 
-    if(flags&~(FLAG_PACKAGEINTERNAL|FLAG_PUBLIC|FLAG_FINAL|FLAG_DYNAMIC))
+    if(flags&~(FLAG_PACKAGEINTERNAL|FLAG_PUBLIC|FLAG_FINAL|FLAG_DYNAMIC|FLAG_INTERFACE))
         syntaxerror("invalid modifier(s)");
 
     if((flags&(FLAG_PUBLIC|FLAG_PACKAGEINTERNAL)) == (FLAG_PUBLIC|FLAG_PACKAGEINTERNAL))
@@ -687,34 +808,58 @@ static void startclass(int flags, char*classname, classinfo_t*extends, classinfo
     int access=0;
     char*package=0;
 
-    if(!(flags&FLAG_PUBLIC) && !state->package) {
-        access = ACCESS_PRIVATE; package = current_filename;
-    } else if(!(flags&FLAG_PUBLIC) && state->package) {
+    if(!(flags&FLAG_PUBLIC) && state->package==internal_filename_package) {
+        access = ACCESS_PRIVATE; package = internal_filename_package;
+    } else if(!(flags&FLAG_PUBLIC) && state->package!=internal_filename_package) {
         access = ACCESS_PACKAGEINTERNAL; package = state->package;
-    } else if(state->package) {
+    } else if(state->package!=internal_filename_package) {
         access = ACCESS_PACKAGE; package = state->package;
     } else {
         syntaxerror("public classes only allowed inside a package");
     }
 
     if(as3_pass==1) {
-        if(registry_findclass(package, classname)) {
+        state->cls = rfx_calloc(sizeof(classstate_t));
+        state->cls->init = rfx_calloc(sizeof(methodstate_t));
+        state->cls->static_init = rfx_calloc(sizeof(methodstate_t));
+        /* notice: we make no effort to initialize the top variable (local0) here,
+           even though it has special meaning. We just rely on the facat
+           that pass 1 won't do anything with variables */
+        
+        dict_put(global->token2info, (void*)(ptroff_t)as3_tokencount, state->cls);
+
+        /* set current method to constructor- all code within the class-level (except
+           static variable initializations) will be executed during construction time */
+        state->method = state->cls->init;
+
+        if(registry_find(package, classname)) {
             syntaxerror("Package \"%s\" already contains a class called \"%s\"", package, classname);
         }
         /* build info struct */
         int num_interfaces = (list_length(implements));
         state->cls->info = classinfo_register(access, package, classname, num_interfaces);
+        state->cls->info->flags |= flags & (FLAG_DYNAMIC|FLAG_INTERFACE|FLAG_FINAL);
     }
     
     if(as3_pass == 2) {
-        state->cls->info = registry_findclass(package, classname);
-        parserassert((int)state->cls->info);
+        state->cls = dict_lookup(global->token2info, (void*)(ptroff_t)as3_tokencount);
+        
+        state->method = state->cls->init;
+        parserassert(state->cls && state->cls->info);
+        
+        function_initvars(state->cls->init, 0, 0);
+        function_initvars(state->cls->static_init, 0, 0);
+
+        if(extends && (extends->flags & FLAG_FINAL))
+            syntaxerror("Can't extend final class '%s'", extends->name);
 
         /* fill out interfaces and extends (we couldn't resolve those during the first pass) */
         state->cls->info->superclass = extends?extends:TYPE_OBJECT;
         int pos = 0;
         classinfo_list_t*l = implements;
         for(l=implements;l;l=l->next) {
+            if(!(l->classinfo->flags & FLAG_INTERFACE))
+                syntaxerror("'%s' is not an interface", l->classinfo->name);
             state->cls->info->interfaces[pos++] = l->classinfo;
         }
 
@@ -723,10 +868,9 @@ static void startclass(int flags, char*classname, classinfo_t*extends, classinfo
         multiname_t*extends2 = sig2mname(extends);
 
         state->cls->abc = abc_class_new(global->file, &classname2, extends2);
-        if(flags&FLAG_FINAL) abc_class_final(state->cls->abc);
-        if(!(flags&FLAG_DYNAMIC)) abc_class_sealed(state->cls->abc);
-        if(interface) {
-            state->cls->info->flags |= CLASS_INTERFACE;
+        if(state->cls->info->flags&FLAG_FINAL) abc_class_final(state->cls->abc);
+        if(!(state->cls->info->flags&FLAG_DYNAMIC)) abc_class_sealed(state->cls->abc);
+        if(state->cls->info->flags&FLAG_INTERFACE) {
             abc_class_interface(state->cls->abc);
         }
 
@@ -789,7 +933,7 @@ static void startclass(int flags, char*classname, classinfo_t*extends, classinfo
 
         /* flash.display.MovieClip handling */
 
-        if(!as3_globalclass && (flags&FLAG_PUBLIC) && classinfo_equals(registry_getMovieClip(),extends)) {
+        if(!as3_globalclass && (flags&FLAG_PUBLIC) && slotinfo_equals((slotinfo_t*)registry_getMovieClip(),(slotinfo_t*)extends)) {
             if(state->package && state->package[0]) {
                 as3_globalclass = concat3(state->package, ".", classname);
             } else {
@@ -799,30 +943,43 @@ static void startclass(int flags, char*classname, classinfo_t*extends, classinfo
     }
 }
 
+static void setstaticfunction(int x)
+{
+    if(state->cls) {
+        if(x&FLAG_STATIC) {
+            state->method = state->cls->static_init;
+        } else {
+            state->method = state->cls->init;
+        }
+    } else {
+        parserassert(state->method);
+    }
+}
+
 static void endclass()
 {
     if(as3_pass == 2) {
-        if(!state->cls->has_constructor && !(state->cls->info->flags&CLASS_INTERFACE)) {
+        if(!state->cls->has_constructor && !(state->cls->info->flags&FLAG_INTERFACE)) {
             code_t*c = 0;
             c = abc_getlocal_0(c);
             c = abc_constructsuper(c, 0);
-            state->cls->init = code_append(state->cls->init, c);
+            state->cls->init->header = code_append(state->cls->init->header, c);
+            state->cls->has_constructor=1;
         }
-        if(!state->method->late_binding) {
-            // class initialization code uses late binding
-            code_t*c = 0;
-            c = abc_getlocal_0(c);
-            c = abc_pushscope(c);
-            state->cls->static_init = code_append(c, state->cls->static_init);
-        }
-
         if(state->cls->init) {
-            abc_method_t*m = abc_class_getconstructor(state->cls->abc, 0);
-            m->body->code = wrap_function(0, state->cls->init, m->body->code);
+            if(state->cls->info->flags&FLAG_INTERFACE) {
+                if(state->cls->init->header) 
+                    syntaxerror("interface can not have class-level code");
+            } else {
+                abc_method_t*m = abc_class_getconstructor(state->cls->abc, 0);
+                code_t*c = method_header(state->cls->init);
+                m->body->code = wrap_function(c, 0, m->body->code);
+            }
         }
         if(state->cls->static_init) {
             abc_method_t*m = abc_class_getstaticconstructor(state->cls->abc, 0);
-            m->body->code = wrap_function(0, state->cls->static_init, m->body->code);
+            code_t*c = method_header(state->cls->static_init);
+            m->body->code = wrap_function(c, 0, m->body->code);
         }
     }
 
@@ -863,115 +1020,95 @@ static void check_constant_against_type(classinfo_t*t, constant_t*c)
    }
 }
 
-
-static int flags2access(int flags)
+static void check_override(memberinfo_t*m, int flags)
 {
-    int access = 0;
-    if(flags&FLAG_PUBLIC)  {
-        if(access&(FLAG_PRIVATE|FLAG_PROTECTED|FLAG_PACKAGEINTERNAL)) 
-            syntaxerror("invalid combination of access levels");
-        access = ACCESS_PACKAGE;
-    } else if(flags&FLAG_PRIVATE) {
-        if(access&(FLAG_PUBLIC|FLAG_PROTECTED|FLAG_PACKAGEINTERNAL)) 
-            syntaxerror("invalid combination of access levels");
-        access = ACCESS_PRIVATE;
-    } else if(flags&FLAG_PROTECTED) {
-        if(access&(FLAG_PUBLIC|FLAG_PRIVATE|FLAG_PACKAGEINTERNAL)) 
-            syntaxerror("invalid combination of access levels");
-        access = ACCESS_PROTECTED;
-    } else {
-        access = ACCESS_PACKAGEINTERNAL;
+    if(!m)
+        return;
+    if(m->parent == state->cls->info)
+        syntaxerror("class '%s' already contains a method/slot '%s'", m->parent->name, m->name);
+    if(!m->parent)
+        syntaxerror("internal error: overriding method %s, which doesn't have parent", m->name);
+    if(m->access==ACCESS_PRIVATE)
+        return;
+    if(m->flags & FLAG_FINAL)
+        syntaxerror("can't override final member %s", m->name);
+    if((m->flags & FLAG_STATIC) && !(flags&FLAG_STATIC))
+        syntaxerror("can't override static member %s", m->name);
+    if(!(m->flags & FLAG_STATIC) && (flags&FLAG_STATIC))
+        syntaxerror("can't override non-static member %s with static declaration", m->name);
+
+    if(!(flags&FLAG_OVERRIDE)) {
+        if(m->parent && !(m->parent->flags&FLAG_INTERFACE)) {
+            if(m->kind == INFOTYPE_METHOD)
+                syntaxerror("can't override without explicit 'override' declaration");
+            else
+                syntaxerror("can't override '%s'", m->name);
+        }
     }
-    return access;
 }
 
-
-static memberinfo_t*registerfunction(enum yytokentype getset, int flags, char*name, params_t*params, classinfo_t*return_type, int slot)
+static methodinfo_t*registerfunction(enum yytokentype getset, int flags, char*name, params_t*params, classinfo_t*return_type, int slot)
 {
-    memberinfo_t*minfo = 0;
+    methodinfo_t*minfo = 0;
+    U8 access = flags2access(flags);
     if(!state->cls) {
         //package method
-        minfo = memberinfo_register_global(flags2access(flags), state->package, name, MEMBER_METHOD);
+        minfo = methodinfo_register_global(access, state->package, name);
         minfo->return_type = return_type;
     } else if(getset != KW_GET && getset != KW_SET) {
         //class method
-        if((minfo = registry_findmember(state->cls->info, name, 0))) {
-            if(minfo->parent == state->cls->info) {
-                syntaxerror("class already contains a member/method called '%s'", name);
-            } else if(!minfo->parent) {
-                syntaxerror("internal error: overriding method %s, which doesn't have parent", name);
-            } else {
-                if(!(minfo->flags&(FLAG_STATIC|FLAG_PRIVATE)))
-                    syntaxerror("function %s already exists in superclass. Did you forget the 'override' keyword?");
-            }
+        memberinfo_t* m = registry_findmember(state->cls->info, name, 0);
+        if(m) {
+            syntaxerror("class already contains a %s '%s'", infotypename((slotinfo_t*)m), m->name);
         }
-        minfo = memberinfo_register(state->cls->info, name, MEMBER_METHOD);
+        minfo = methodinfo_register_onclass(state->cls->info, access, name);
         minfo->return_type = return_type;
         // getslot on a member slot only returns "undefined", so no need
         // to actually store these
         //state->minfo->slot = state->method->abc->method->trait->slot_id;
     } else {
         //class getter/setter
-        int gs = getset==KW_GET?MEMBER_GET:MEMBER_SET;
+        int gs = getset==KW_GET?SUBTYPE_GET:SUBTYPE_SET;
         classinfo_t*type=0;
         if(getset == KW_GET)
             type = return_type;
         else if(params->list && params->list->param)
             type = params->list->param->type;
         // not sure wether to look into superclasses here, too
-        if((minfo=registry_findmember(state->cls->info, name, 0))) {
-            if(minfo->kind & ~(MEMBER_GET|MEMBER_SET))
-                syntaxerror("class already contains a member or method called '%s'", name);
-            if(minfo->kind & gs)
+        minfo = (methodinfo_t*)registry_findmember(state->cls->info, name, 1);
+        if(minfo) {
+            if(minfo->kind!=INFOTYPE_SLOT)
+                syntaxerror("class already contains a method called '%s'", name);
+            if(!(minfo->subtype & (SUBTYPE_GETSET)))
+                syntaxerror("class already contains a field called '%s'", name);
+            if(minfo->subtype & gs)
                 syntaxerror("getter/setter for '%s' already defined", name);
             /* make a setter or getter into a getset */
-            minfo->kind |= gs;
-            if(!minfo->type) 
-                minfo->type = type;
-            else
-                if(type && minfo->type != type)
+            minfo->subtype |= gs;
+            if(!minfo->return_type) {
+                minfo->return_type = type;
+            } else {
+                if(minfo && minfo->return_type != type)
                     syntaxerror("different type in getter and setter");
+            }
         } else {
-            minfo = memberinfo_register(state->cls->info, name, gs);
-            minfo->type = type;
+            minfo = methodinfo_register_onclass(state->cls->info, access, name);
+            minfo->kind = INFOTYPE_SLOT; //hack
+            minfo->subtype = gs;
+            minfo->return_type = type;
         }
         /* can't assign a slot as getter and setter might have different slots */
         //minfo->slot = slot;
     }
+    if(flags&FLAG_FINAL) minfo->flags |= FLAG_FINAL;
     if(flags&FLAG_STATIC) minfo->flags |= FLAG_STATIC;
-    if(flags&FLAG_PUBLIC) minfo->flags |= FLAG_PUBLIC;
-    if(flags&FLAG_PRIVATE) minfo->flags |= FLAG_PRIVATE;
-    if(flags&FLAG_PROTECTED) minfo->flags |= FLAG_PROTECTED;
-    if(flags&FLAG_PACKAGEINTERNAL) minfo->flags |= FLAG_PACKAGEINTERNAL;
     if(flags&FLAG_OVERRIDE) minfo->flags |= FLAG_OVERRIDE;
     return minfo;
 }
 
-static void function_initvars(params_t*params, int flags)
-{
-    if(state->method->inner)
-        new_variable("this", 0, 0);
-    else if(!state->method->is_global)
-        new_variable((flags&FLAG_STATIC)?"class":"this", state->cls->info, 0);
-    else
-        new_variable("globalscope", 0, 0);
-
-    param_list_t*p=0;
-    for(p=params->list;p;p=p->next) {
-        new_variable(p->param->name, p->param->type, 0);
-    }
-    
-    methodstate_list_t*l = state->method->innerfunctions;
-    while(l) {
-        methodstate_t*m = l->methodstate;
-        m->var_index = new_variable(m->info->name, TYPE_FUNCTION(m->info), 0);
-        l = l->next;
-    }
-}
-
 static void innerfunction(char*name, params_t*params, classinfo_t*return_type)
 {
-    parserassert(state->method && state->method->info);
+    //parserassert(state->method && state->method->info);
 
     methodstate_t*parent_method = state->method;
 
@@ -990,11 +1127,14 @@ static void innerfunction(char*name, params_t*params, classinfo_t*return_type)
         state->method->variable_count = 0;
         state->method->abc = rfx_calloc(sizeof(abc_method_t));
 
-        NEW(memberinfo_t,minfo);
+        NEW(methodinfo_t,minfo);
+        minfo->kind = INFOTYPE_METHOD;
+        minfo->access = ACCESS_PACKAGEINTERNAL;
         minfo->name = name;
         state->method->info = minfo;
 
-        list_append(parent_method->innerfunctions, state->method);
+        if(parent_method)
+            list_append(parent_method->innerfunctions, state->method);
 
         dict_put(global->token2info, (void*)(ptroff_t)as3_tokencount, state->method);
     }
@@ -1004,7 +1144,7 @@ static void innerfunction(char*name, params_t*params, classinfo_t*return_type)
         parserassert(state->method);
 
         state->method->info->return_type = return_type;
-        function_initvars(params, 0);
+        function_initvars(state->method, params, 0);
     }
 }
 
@@ -1019,7 +1159,6 @@ static void startfunction(token_t*ns, int flags, enum yytokentype getset, char*n
     if(as3_pass == 1) {
         state->method = rfx_calloc(sizeof(methodstate_t));
         state->method->has_super = 0;
-        state->method->variable_count = 0;
 
         if(state->cls) {
             state->method->is_constructor = !strcmp(state->cls->info->name,name);
@@ -1039,13 +1178,18 @@ static void startfunction(token_t*ns, int flags, enum yytokentype getset, char*n
     if(as3_pass == 2) {
         state->method = dict_lookup(global->token2info, (void*)(ptroff_t)as3_tokencount);
         parserassert(state->method);
+
+        if(state->cls) {
+            memberinfo_t*m = registry_findmember(state->cls->info, name, 2);
+            check_override(m, flags);
+        }
             
         if(state->cls) { 
             state->cls->has_constructor |= state->method->is_constructor;
         }
         
         state->method->info->return_type = return_type;
-        function_initvars(params, flags);
+        function_initvars(state->method, params, flags);
     } 
 }
 
@@ -1067,7 +1211,7 @@ static abc_method_t* endfunction(token_t*ns, int flags, enum yytokentype getset,
     } else if(state->method->is_constructor) {
         f = abc_class_getconstructor(state->cls->abc, type2);
     } else if(!state->method->is_global) {
-        namespace_t mname_ns = flags2namespace(flags, "");
+        namespace_t mname_ns = {state->method->info->access, ""};
         multiname_t mname = {QNAME, &mname_ns, 0, name};
 
         if(flags&FLAG_STATIC)
@@ -1076,7 +1220,7 @@ static abc_method_t* endfunction(token_t*ns, int flags, enum yytokentype getset,
             f = abc_class_method(state->cls->abc, type2, &mname);
         slot = f->trait->slot_id;
     } else {
-        namespace_t mname_ns = flags2namespace(flags, state->package);
+        namespace_t mname_ns = {state->method->info->access, state->package};
         multiname_t mname = {QNAME, &mname_ns, 0, name};
 
         f = abc_method_new(global->file, type2, 1);
@@ -1217,7 +1361,11 @@ code_t*converttype(code_t*c, classinfo_t*from, classinfo_t*to)
         return c;
     if(TYPE_IS_CLASS(from) && TYPE_IS_CLASS(to))
         return c;
-    syntaxerror("can't convert type %s to %s", from->name, to->name);
+    if(TYPE_IS_NULL(from) && !IS_NUMBER_OR_INT(to))
+        return c;
+    syntaxerror("can't convert type %s%s%s to %s%s%s", 
+        from->package, from->package?".":"", from->name, 
+        to->package, to->package?".":"", to->name);
     return 0; // make gcc happy
 }
 
@@ -1246,11 +1394,11 @@ char is_pushundefined(code_t*c)
     return (c && !c->prev && !c->next && c->opcode == OPCODE_PUSHUNDEFINED);
 }
 
-static classinfo_t* find_class(char*name)
+static slotinfo_t* find_class(char*name)
 {
-    classinfo_t*c=0;
+    slotinfo_t*c=0;
 
-    c = registry_findclass(state->package, name);
+    c = registry_find(state->package, name);
     if(c) return c;
 
     /* try explicit imports */
@@ -1258,7 +1406,7 @@ static classinfo_t* find_class(char*name)
     if(c) return c;
     while(e) {
         if(!strcmp(e->key, name)) {
-            c = (classinfo_t*)e->data;
+            c = (slotinfo_t*)e->data;
             if(c) return c;
         }
         e = e->next;
@@ -1268,17 +1416,17 @@ static classinfo_t* find_class(char*name)
     import_list_t*l = state->wildcard_imports;
     while(l) {
         //printf("does package %s contain a class %s?\n", l->import->package, name);
-        c = registry_findclass(l->import->package, name);
+        c = registry_find(l->import->package, name);
         if(c) return c;
         l = l->next;
     }
 
     /* try global package */
-    c = registry_findclass("", name);
+    c = registry_find("", name);
     if(c) return c;
-   
+  
     /* try local "filename" package */
-    c = registry_findclass(current_filename_short, name);
+    c = registry_find(internal_filename_package, name);
     if(c) return c;
 
     return 0;
@@ -1315,7 +1463,6 @@ static code_t* toreadwrite(code_t*in, code_t*middlepart, char justassign, char r
 
        [prefix code] ([dup]) [read instruction] [middlepart] [setvar] [write instruction] [getvar]
     */
-    
     if(in && in->opcode == OPCODE_COERCE_A) {
         in = code_cutlast(in);
     }
@@ -1435,6 +1582,7 @@ static code_t* toreadwrite(code_t*in, code_t*middlepart, char justassign, char r
             c = code_append(c, write);
             c = code_append(c, r);
         } else {
+            code_free(r);r=0;
             temp = gettempvar();
             if(prefix) {
                 c = code_append(c, prefix);
@@ -1605,6 +1753,7 @@ PROGRAM_CODE: PACKAGE_DECLARATION
             | FUNCTION_DECLARATION
             | SLOT_DECLARATION
             | PACKAGE_INITCODE
+            | CONDITIONAL_COMPILATION '{' MAYBE_PROGRAM_CODE_LIST '}' // conditional compilation
             | ';'
 
 MAYBE_INPACKAGE_CODE_LIST: | INPACKAGE_CODE_LIST
@@ -1616,6 +1765,7 @@ INPACKAGE_CODE: INTERFACE_DECLARATION
               | FUNCTION_DECLARATION
               | SLOT_DECLARATION
               | PACKAGE_INITCODE
+              | CONDITIONAL_COMPILATION '{' MAYBE_INPACKAGE_CODE_LIST '}' // conditional compilation
               | ';'
 
 MAYBECODE: CODE {$$=$1;}
@@ -1635,6 +1785,9 @@ CODE_STATEMENT: IF
 CODE_STATEMENT: WITH
 CODE_STATEMENT: TRY
 CODE_STATEMENT: VOIDEXPRESSION 
+CODE_STATEMENT: USE_NAMESPACE
+CODE_STATEMENT: '{' CODE '}' {$$=$2;}
+CODE_STATEMENT: '{' '}' {$$=0;}
 
 // code which may appear anywhere
 CODEPIECE: ';' {$$=0;}
@@ -1644,12 +1797,12 @@ CODEPIECE: BREAK
 CODEPIECE: CONTINUE
 CODEPIECE: RETURN
 CODEPIECE: THROW
+CODEPIECE: CONDITIONAL_COMPILATION '{' CODE '}' {$$=$3;}
 
 CODEPIECE: NAMESPACE_DECLARATION {/*TODO*/$$=0;}
-CODEPIECE: USE_NAMESPACE         {/*TODO*/$$=0;}
 
-CODEBLOCK :  '{' CODE '}' {$$=$2;}
-CODEBLOCK :  '{' '}'      {$$=0;}
+//CODEBLOCK :  '{' CODE '}' {$$=$2;}
+//CODEBLOCK :  '{' '}'      {$$=0;}
 CODEBLOCK :  CODEPIECE ';'             {$$=$1;}
 CODEBLOCK :  CODEPIECE %prec below_semicolon {$$=$1;}
 
@@ -1660,6 +1813,10 @@ PACKAGE_INITCODE: CODE_STATEMENT {
     *cc = code_append(*cc, $1);
 }
 
+/* ------------ conditional compilation ------------- */
+
+CONDITIONAL_COMPILATION: T_IDENTIFIER "::" T_IDENTIFIER 
+
 /* ------------ variables --------------------------- */
 
 MAYBEEXPRESSION : '=' NONCOMMAEXPRESSION {$$=$2;}
@@ -1691,6 +1848,7 @@ ONE_VARIABLE: T_IDENTIFIER MAYBETYPE MAYBEEXPRESSION
             $$ = converttype($$, $3.t, $2);
             $$ = abc_setlocal($$, index);
         } else {
+            code_free($3.c);
             $$ = defaultvalue(0, $2);
             $$ = abc_setlocal($$, index);
         }
@@ -1700,6 +1858,7 @@ ONE_VARIABLE: T_IDENTIFIER MAYBETYPE MAYBEEXPRESSION
             $$ = abc_coerce_a($$);
             $$ = abc_setlocal($$, index);
         } else {
+            code_free($3.c);
             $$ = code_new();
         }
     }
@@ -1742,6 +1901,8 @@ FOR_INIT : VARIABLE_DECLARATION
 FOR_INIT : VOIDEXPRESSION
 
 // TODO: why doesn't an %prec above_identifier resolve the r-r conflict here?
+//       (I don't see any easy way to revolve this conflict otherwise, as we
+//        can't touch VAR_READ without upsetting the precedence about "return")
 FOR_IN_INIT : "var" T_IDENTIFIER MAYBETYPE {
     $$=$2;new_variable($2,$3,1);
 }
@@ -2049,7 +2210,13 @@ PACKAGE_DECLARATION : "package" PACKAGE '{' {PASS12 startpackage($2);free($2);$2
 PACKAGE_DECLARATION : "package" '{' {PASS12 startpackage("");} 
                                 MAYBE_INPACKAGE_CODE_LIST '}' {PASS12 endpackage();$$=0;}
 
-IMPORT : "import" QNAME {
+IMPORT : "import" PACKAGEANDCLASS {
+       PASS1 
+       if(!registry_find($2->package, $2->name)) {
+           as3_schedule_class($2->package, $2->name);
+       }
+
+       PASS2
        classinfo_t*c = $2;
        if(!c) 
             syntaxerror("Couldn't import class\n");
@@ -2058,6 +2225,12 @@ IMPORT : "import" QNAME {
        $$=0;
 }
 IMPORT : "import" PACKAGE '.' '*' {
+       PASS1 
+       if(strncmp("flash.", $2, 6)) {
+           as3_schedule_package($2);
+       }
+
+       PASS2
        NEW(import_t,i);
        i->package = $2;
        state_has_imports();
@@ -2081,25 +2254,26 @@ MODIFIER : KW_PUBLIC {PASS12 $$=FLAG_PUBLIC;}
          | KW_OVERRIDE {PASS12 $$=FLAG_OVERRIDE;}
          | KW_NATIVE {PASS12 $$=FLAG_NATIVE;}
          | KW_INTERNAL {PASS12 $$=FLAG_PACKAGEINTERNAL;}
+         | T_NAMESPACE {PASS12 $$=FLAG_NAMESPACE;}
 
 EXTENDS : {$$=registry_getobjectclass();}
-EXTENDS : KW_EXTENDS QNAME {$$=$2;}
+EXTENDS : KW_EXTENDS CLASS_SPEC {$$=$2;}
 
 EXTENDS_LIST : {PASS12 $$=list_new();}
-EXTENDS_LIST : KW_EXTENDS QNAME_LIST {PASS12 $$=$2;}
+EXTENDS_LIST : KW_EXTENDS CLASS_SPEC_LIST {PASS12 $$=$2;}
 
 IMPLEMENTS_LIST : {PASS12 $$=list_new();}
-IMPLEMENTS_LIST : KW_IMPLEMENTS QNAME_LIST {PASS12 $$=$2;}
+IMPLEMENTS_LIST : KW_IMPLEMENTS CLASS_SPEC_LIST {PASS12 $$=$2;}
 
 CLASS_DECLARATION : MAYBE_MODIFIERS "class" T_IDENTIFIER 
                               EXTENDS IMPLEMENTS_LIST 
-                              '{' {PASS12 startclass($1,$3,$4,$5, 0);} 
+                              '{' {PASS12 startclass($1,$3,$4,$5);} 
                               MAYBE_CLASS_BODY 
                               '}' {PASS12 endclass();$$=0;}
 
 INTERFACE_DECLARATION : MAYBE_MODIFIERS "interface" T_IDENTIFIER 
                               EXTENDS_LIST 
-                              '{' {PASS12 startclass($1,$3,0,$4,1);}
+                              '{' {PASS12 startclass($1|FLAG_INTERFACE,$3,0,$4);}
                               MAYBE_INTERFACE_BODY 
                               '}' {PASS12 endclass();$$=0;}
 
@@ -2110,13 +2284,14 @@ MAYBE_CLASS_BODY : CLASS_BODY
 CLASS_BODY : CLASS_BODY_ITEM
 CLASS_BODY : CLASS_BODY CLASS_BODY_ITEM
 CLASS_BODY_ITEM : ';'
+CLASS_BODY_ITEM : CONDITIONAL_COMPILATION '{' MAYBE_CLASS_BODY '}'
 CLASS_BODY_ITEM : SLOT_DECLARATION
 CLASS_BODY_ITEM : FUNCTION_DECLARATION
 
 CLASS_BODY_ITEM : CODE_STATEMENT {
-    code_t*c = state->cls->static_init;
+    code_t*c = state->cls->static_init->header;
     c = code_append(c, $1);  
-    state->cls->static_init = c;
+    state->cls->static_init->header = c;
 }
 
 MAYBE_INTERFACE_BODY : 
@@ -2135,23 +2310,37 @@ IDECLARATION : MAYBE_MODIFIERS "function" GETSET T_IDENTIFIER '(' MAYBE_PARAM_LI
     }
     startfunction(0,$1,$3,$4,&$6,$8);
     endfunction(0,$1,$3,$4,&$6,$8, 0);
+    list_deep_free($6.list);
 }
 
 /* ------------ classes and interfaces (body, slots ) ------- */
 
 VARCONST: "var" | "const"
 
-SLOT_DECLARATION: MAYBE_MODIFIERS VARCONST T_IDENTIFIER MAYBETYPE MAYBEEXPRESSION {
+SLOT_DECLARATION: MAYBE_MODIFIERS VARCONST T_IDENTIFIER {setstaticfunction($1);} MAYBETYPE MAYBEEXPRESSION {
     int flags = $1;
-    memberinfo_t* info = state->cls?
-            memberinfo_register(state->cls->info, $3, MEMBER_SLOT):
-            memberinfo_register_global(flags2access($1), state->package, $3, MEMBER_SLOT);
+    U8 access = flags2access($1);
+
+    varinfo_t* info = 0;
+    if(state->cls) {
+        memberinfo_t*i = registry_findmember(state->cls->info, $3, 1);
+        if(i) {
+            check_override(i, flags);
+        }
+        info = varinfo_register_onclass(state->cls->info, access, $3);
+    } else {
+        slotinfo_t*i = registry_find(state->package, $3);
+        if(i) {
+            syntaxerror("package %s already contains '%s'", state->package, $3);
+        }
+        info = varinfo_register_global(access, state->package, $3);
+    }
 
-    info->type = $4;
+    info->type = $5;
     info->flags = flags;
 
     /* slot name */
-    namespace_t mname_ns = {flags2access(flags), ""};
+    namespace_t mname_ns = {access, ""};
     multiname_t mname = {QNAME, &mname_ns, 0, $3};
   
     trait_list_t**traits;
@@ -2164,16 +2353,16 @@ SLOT_DECLARATION: MAYBE_MODIFIERS VARCONST T_IDENTIFIER MAYBETYPE MAYBEEXPRESSIO
     } else if(flags&FLAG_STATIC) {
         // static variable
         traits = &state->cls->abc->static_traits;
-        code = &state->cls->static_init;
+        code = &state->cls->static_init->header;
     } else {
         // instance variable
         traits = &state->cls->abc->traits;
-        code = &state->cls->init;
+        code = &state->cls->init->header;
     }
     
     trait_t*t=0;
-    if($4) {
-        MULTINAME(m, $4);
+    if($5) {
+        MULTINAME(m, $5);
         t = trait_new_member(traits, multiname_clone(&m), multiname_clone(&mname), 0);
     } else {
         t = trait_new_member(traits, 0, multiname_clone(&mname), 0);
@@ -2182,10 +2371,10 @@ SLOT_DECLARATION: MAYBE_MODIFIERS VARCONST T_IDENTIFIER MAYBETYPE MAYBEEXPRESSIO
     
     /* initalization code (if needed) */
     code_t*c = 0;
-    if($5.c && !is_pushundefined($5.c)) {
+    if($6.c && !is_pushundefined($6.c)) {
         c = abc_getlocal_0(c);
-        c = code_append(c, $5.c);
-        c = converttype(c, $5.t, $4);
+        c = code_append(c, $6.c);
+        c = converttype(c, $6.t, $5);
         c = abc_setslot(c, t->slot_id);
     }
 
@@ -2196,6 +2385,7 @@ SLOT_DECLARATION: MAYBE_MODIFIERS VARCONST T_IDENTIFIER MAYBETYPE MAYBEEXPRESSIO
     }
 
     $$=0;
+    setstaticfunction(0);
 }
 
 /* ------------ constants -------------------------------------- */
@@ -2207,11 +2397,16 @@ STATICCONSTANT : T_BYTE {$$ = constant_new_int($1);}
 STATICCONSTANT : T_INT {$$ = constant_new_int($1);}
 STATICCONSTANT : T_UINT {$$ = constant_new_uint($1);}
 STATICCONSTANT : T_FLOAT {$$ = constant_new_float($1);}
-STATICCONSTANT : T_STRING {$$ = constant_new_string2($1.str,$1.len);}
+STATICCONSTANT : T_STRING {$$ = constant_new_string2($1.str,$1.len);free((char*)$1.str);}
 //STATICCONSTANT : T_NAMESPACE {$$ = constant_new_namespace($1);}
 STATICCONSTANT : "true" {$$ = constant_new_true($1);}
 STATICCONSTANT : "false" {$$ = constant_new_false($1);}
 STATICCONSTANT : "null" {$$ = constant_new_null($1);}
+STATICCONSTANT : T_IDENTIFIER {
+    // TODO
+    as3_warning("Couldn't resolve %s", $1);
+    $$ = constant_new_null($1);
+}
 
 /* ------------ classes and interfaces (body, functions) ------- */
 
@@ -2274,37 +2469,15 @@ GETSET : "get" {$$=$1;}
 FUNCTION_DECLARATION: MAYBE_MODIFIERS "function" GETSET T_IDENTIFIER '(' MAYBE_PARAM_LIST ')' 
                       MAYBETYPE '{' {PASS12 startfunction(0,$1,$3,$4,&$6,$8);} MAYBECODE '}' 
 {
-    PASS1 old_state();
+    PASS1 old_state();list_deep_free($6.list);
     PASS2
     if(!state->method->info) syntaxerror("internal error");
-    code_t*c = 0;
-    if(state->method->late_binding) {
-        c = abc_getlocal_0(c);
-        c = abc_pushscope(c);
-    }
-    /*if(state->method->innerfunctions) {
-        c = abc_newactivation(c);
-        c = abc_pushscope(c);
-    }*/
-    if(state->method->is_constructor && !state->method->has_super) {
-        // call default constructor
-        c = abc_getlocal_0(c);
-        c = abc_constructsuper(c, 0);
-    }
-    methodstate_list_t*l = state->method->innerfunctions;
-    while(l) {
-        parserassert(l->methodstate->abc);
-        c = abc_newfunction(c, l->methodstate->abc);
-        c = abc_setlocal(c, l->methodstate->var_index);
-        free(l->methodstate);l->methodstate=0;
-        l = l->next;
-    }
-    list_free(state->method->innerfunctions);
-    state->method->innerfunctions = 0;
-
+    
+    code_t*c = method_header(state->method);
     c = wrap_function(c, 0, $11);
 
     endfunction(0,$1,$3,$4,&$6,$8,c);
+    list_deep_free($6.list);
     $$=0;
 }
 
@@ -2313,16 +2486,17 @@ MAYBE_IDENTIFIER: {PASS12 $$=0;}
 INNERFUNCTION: "function" MAYBE_IDENTIFIER '(' MAYBE_PARAM_LIST ')' MAYBETYPE 
                '{' {PASS12 innerfunction($2,&$4,$6);} MAYBECODE '}'
 {
-    PASS1 old_state();
+    PASS1 old_state();list_deep_free($4.list);
     PASS2
-    memberinfo_t*f = state->method->info;
-    if(!f) syntaxerror("internal error");
-
-    code_t*c = 0;
+    methodinfo_t*f = state->method->info;
+    if(!f || !f->kind) syntaxerror("internal error");
+    
+    code_t*c = method_header(state->method);
     c = wrap_function(c, 0, $9);
 
     int index = state->method->var_index;
     endfunction(0,0,0,$2,&$4,$6,c);
+    list_deep_free($4.list);
     
     $$.c = abc_getlocal(0, index);
     $$.t = TYPE_FUNCTION(f);
@@ -2335,25 +2509,31 @@ CLASS: T_IDENTIFIER {
     PASS1 $$=0;
     PASS2
     /* try current package */
-    $$ = find_class($1);
-    if(!$$) syntaxerror("Could not find class %s\n", $1);
+    slotinfo_t*s = find_class($1);
+    if(!s) syntaxerror("Could not find class/method %s\n", $1);
+    $$ = (classinfo_t*)s;
 }
 
 PACKAGEANDCLASS : PACKAGE '.' T_IDENTIFIER {
-    PASS1 $$=0;
+    PASS1 static classinfo_t c;
+          memset(&c, 0, sizeof(c));
+          c.package = $1;
+          c.name = $3;
+          $$=&c;
     PASS2
-    $$ = registry_findclass($1, $3);
-    if(!$$) syntaxerror("Couldn't find class %s.%s\n", $1, $3);
+    slotinfo_t*s = registry_find($1, $3);
+    if(!s) syntaxerror("Couldn't find class/method %s.%s\n", $1, $3);
     free($1);$1=0;
+    $$ = (classinfo_t*)s;
 }
 
-QNAME: PACKAGEANDCLASS
-     | CLASS
+CLASS_SPEC: PACKAGEANDCLASS
+          | CLASS
 
-QNAME_LIST : QNAME {PASS12 $$=list_new();list_append($$, $1);}
-QNAME_LIST : QNAME_LIST ',' QNAME {PASS12 $$=$1;list_append($$,$3);}
+CLASS_SPEC_LIST : CLASS_SPEC {PASS12 $$=list_new();list_append($$, $1);}
+CLASS_SPEC_LIST : CLASS_SPEC_LIST ',' CLASS_SPEC {PASS12 $$=$1;list_append($$,$3);}
 
-TYPE : QNAME      {$$=$1;}
+TYPE : CLASS_SPEC {$$=$1;}
      | '*'        {$$=registry_getanytype();}
      | "void"     {$$=registry_getanytype();}
     /*
@@ -2374,42 +2554,49 @@ MAYBE_PARAM_VALUES : '(' MAYBE_EXPRESSION_LIST ')' {$$=$2;}
 
 MAYBE_EXPRESSION_LIST : {$$.cc=0;$$.len=0;}
 MAYBE_EXPRESSION_LIST : EXPRESSION_LIST
+MAYBE_EXPRESSION_LIST : EXPRESSION_LIST_AND_COMMA
+
 EXPRESSION_LIST : NONCOMMAEXPRESSION             {$$.len=1;
                                                   $$.cc = $1.c;
                                                  }
-EXPRESSION_LIST : EXPRESSION_LIST ',' NONCOMMAEXPRESSION {
+
+EXPRESSION_LIST_AND_COMMA: EXPRESSION_LIST ',' {$$ = $1;}
+EXPRESSION_LIST : EXPRESSION_LIST_AND_COMMA NONCOMMAEXPRESSION {
                                                   $$.len= $1.len+1;
-                                                  $$.cc = code_append($1.cc, $3.c);
+                                                  $$.cc = code_append($1.cc, $2.c);
                                                   }
-
-/*NEW : "new" E {
-    $$ = $2;
-    if($2.c->opcode == OPCODE_CALL)
-        $2.c->opcode = OPCODE_CONSTRUCT;
-    else if($2.c->opcode == OPCODE_CALLPROPERTY)
-        $2.c->opcode = OPCODE_CONSTRUCTPROP;
-    else
-        as3_error("invalid argument to 'new'");
-}*/
-
-NEW : "new" CLASS MAYBE_PARAM_VALUES {
-    MULTINAME(m, $2);
-    $$.c = code_new();
-
-    if($2->slot) {
-        $$.c = abc_getglobalscope($$.c);
-        $$.c = abc_getslot($$.c, $2->slot);
+               
+XX : %prec new2
+NEW : "new" E XX MAYBE_PARAM_VALUES {
+    $$.c = $2.c;
+    if($$.c->opcode == OPCODE_COERCE_A) $$.c = code_cutlast($$.c);
+    
+    code_t*paramcode = $4.cc;
+    if($$.c->opcode == OPCODE_GETPROPERTY) {
+        multiname_t*name = $$.c->data[0];$$.c->data[0]=0;
+        $$.c = code_cutlast($$.c);
+        $$.c = code_append($$.c, paramcode);
+        $$.c = abc_constructprop2($$.c, name, $4.len);
+        multiname_destroy(name);
+    } else if($$.c->opcode == OPCODE_GETSLOT) {
+        int slot = (int)(ptroff_t)$$.c->data[0];
+        trait_t*t = abc_class_find_slotid(state->cls->abc,slot);//FIXME
+        multiname_t*name = t->name;
+        $$.c = code_cutlast($$.c);
+        $$.c = code_append($$.c, paramcode);
+        $$.c = abc_constructprop2($$.c, name, $4.len);
     } else {
-        $$.c = abc_findpropstrict2($$.c, &m);
+        $$.c = code_append($$.c, paramcode);
+        $$.c = abc_construct($$.c, $4.len);
+    }
+   
+    $$.t = TYPE_ANY;
+    if(TYPE_IS_CLASS($2.t) && $2.t->data) {
+        $$.t = $2.t->data;
+    } else {
+        $$.c = abc_coerce_a($$.c);
+        $$.t = TYPE_ANY;
     }
-
-    $$.c = code_append($$.c, $3.cc);
-
-    if($2->slot)
-        $$.c = abc_construct($$.c, $3.len);
-    else
-        $$.c = abc_constructprop2($$.c, &m, $3.len);
-    $$.t = $2;
 }
 
 /* TODO: use abc_call (for calling local variables),
@@ -2454,10 +2641,8 @@ FUNCTIONCALL : E '(' MAYBE_EXPRESSION_LIST ')' {
         $$.c = abc_call($$.c, $3.len);
     }
    
-    memberinfo_t*f = 0;
-   
-    if(TYPE_IS_FUNCTION($1.t) && $1.t->function) {
-        $$.t = $1.t->function->return_type;
+    if(TYPE_IS_FUNCTION($1.t) && $1.t->data) {
+        $$.t = ((methodinfo_t*)($1.t->data))->return_type;
     } else {
         $$.c = abc_coerce_a($$.c);
         $$.t = TYPE_ANY;
@@ -2576,7 +2761,7 @@ CONSTANT : T_UINT {$$.c = abc_pushuint(0, $1);
 CONSTANT : T_FLOAT {$$.c = abc_pushdouble(0, $1);
                     $$.t = TYPE_FLOAT;
                    }
-CONSTANT : T_STRING {$$.c = abc_pushstring2(0, &$1);
+CONSTANT : T_STRING {$$.c = abc_pushstring2(0, &$1);free((char*)$1.str);
                      $$.t = TYPE_STRING;
                     }
 CONSTANT : "undefined" {$$.c = abc_pushundefined(0);
@@ -2726,10 +2911,10 @@ E : E "in" E {$$.c = code_append($1.c,$3.c);
              }
 
 E : E "as" E {char use_astype=0; // flash player's astype works differently than astypelate
-              if(use_astype && TYPE_IS_CLASS($3.t)) {
-                MULTINAME(m,$3.t->cls);
+              if(use_astype && TYPE_IS_CLASS($3.t) && $3.t->data) {
+                MULTINAME(m, (classinfo_t*)($3.t->data));
                 $$.c = abc_astype2($1.c, &m);
-                $$.t = $3.t->cls;
+                $$.t = $3.t->data;
               } else {
                 $$.c = code_append($1.c, $3.c);
                 $$.c = abc_astypelate($$.c);
@@ -2811,7 +2996,7 @@ EXPRPAIR_LIST : EXPRPAIR_LIST ',' NONCOMMAEXPRESSION ':' NONCOMMAEXPRESSION {
 //MAYBECOMMA: ','
 //MAYBECOMMA:
 
-E : '{' MAYBE_EXPRPAIR_LIST '}' {
+E : "{ (dictionary)" MAYBE_EXPRPAIR_LIST '}' {
     $$.c = code_new();
     $$.c = code_append($$.c, $2.cc);
     $$.c = abc_newobject($$.c, $2.len/2);
@@ -2866,6 +3051,12 @@ E : E "|=" E {
                $$.c = toreadwrite($1.c, c, 0, 0);
                $$.t = $1.t;
               }
+E : E "^=" E { 
+               code_t*c = abc_bitxor($3.c);
+               c=converttype(c, TYPE_INT, $1.t);
+               $$.c = toreadwrite($1.c, c, 0, 0);
+               $$.t = $1.t;
+              }
 E : E "+=" E { 
                code_t*c = $3.c;
 
@@ -2986,20 +3177,59 @@ E : "super" '.' T_IDENTIFIER
               if(!t) t = TYPE_OBJECT;
 
               memberinfo_t*f = registry_findmember(t, $3, 1);
-              namespace_t ns = flags2namespace(f->flags, "");
+              namespace_t ns = {f->access, ""};
               MEMBER_MULTINAME(m, f, $3);
               $$.c = 0;
               $$.c = abc_getlocal_0($$.c);
               $$.c = abc_getsuper2($$.c, &m);
-              $$.t = memberinfo_gettype(f);
+              $$.t = slotinfo_gettype((slotinfo_t*)f);
+           }
+
+E : '@' T_IDENTIFIER {
+              // attribute TODO
+              $$.c = abc_pushundefined(0);
+              $$.t = 0;
+              as3_warning("ignored @ operator");
+           }
+
+E : E '.' '@' T_IDENTIFIER {
+              // child attribute  TODO
+              $$.c = abc_pushundefined(0);
+              $$.t = 0;
+              as3_warning("ignored .@ operator");
+           }
+
+E : E '.' T_IDENTIFIER "::" T_IDENTIFIER {
+              // namespace declaration TODO
+              $$.c = abc_pushundefined(0);
+              $$.t = 0;
+              as3_warning("ignored :: operator");
+           }
+
+E : E ".." T_IDENTIFIER {
+              // descendants TODO
+              $$.c = abc_pushundefined(0);
+              $$.t = 0;
+              as3_warning("ignored .. operator");
+           }
+
+E : E '.' '(' E ')' {
+              // filter TODO
+              $$.c = abc_pushundefined(0);
+              $$.t = 0;
+              as3_warning("ignored .() operator");
            }
 
+//VARIABLE : VARIABLE "::" '[' EXPRESSION ']' // qualified expression
+
+
+
 E : E '.' T_IDENTIFIER
             {$$.c = $1.c;
              classinfo_t*t = $1.t;
              char is_static = 0;
-             if(TYPE_IS_CLASS(t) && t->cls) {
-                 t = t->cls;
+             if(TYPE_IS_CLASS(t) && t->data) {
+                 t = t->data;
                  is_static = 1;
              }
              if(t) {
@@ -3014,7 +3244,7 @@ E : E '.' T_IDENTIFIER
                      $$.c = abc_getproperty2($$.c, &m);
                  }
                  /* determine type */
-                 $$.t = memberinfo_gettype(f);
+                 $$.t = slotinfo_gettype((slotinfo_t*)f);
                  if(!$$.t)
                     $$.c = abc_coerce_a($$.c);
              } else {
@@ -3031,7 +3261,7 @@ E : E '.' T_IDENTIFIER
 VAR_READ : T_IDENTIFIER {
     $$.t = 0;
     $$.c = 0;
-    classinfo_t*a = 0;
+    slotinfo_t*a = 0;
     memberinfo_t*f = 0;
 
     variable_t*v;
@@ -3051,7 +3281,7 @@ VAR_READ : T_IDENTIFIER {
         // $1 is a function in this class
         int var_is_static = (f->flags&FLAG_STATIC);
 
-        if(f->kind == MEMBER_METHOD) {
+        if(f->kind == INFOTYPE_METHOD) {
             $$.t = TYPE_FUNCTION(f);
         } else {
             $$.t = f->type;
@@ -3063,7 +3293,7 @@ VAR_READ : T_IDENTIFIER {
            static properties of a class */
             state->method->late_binding = 1;
             $$.t = f->type;
-            namespace_t ns = {flags2access(f->flags), ""};
+            namespace_t ns = {f->access, ""};
             multiname_t m = {QNAME, &ns, 0, $1};
             $$.c = abc_findpropstrict2($$.c, &m);
             $$.c = abc_getproperty2($$.c, &m);
@@ -3073,7 +3303,7 @@ VAR_READ : T_IDENTIFIER {
             $$.c = abc_getslot($$.c, f->slot);
             break;
         } else {
-            namespace_t ns = {flags2access(f->flags), ""};
+            namespace_t ns = {f->access, ""};
             multiname_t m = {QNAME, &ns, 0, $1};
             $$.c = abc_getlocal_0($$.c);
             $$.c = abc_getproperty2($$.c, &m);
@@ -3083,24 +3313,34 @@ VAR_READ : T_IDENTIFIER {
     
     /* look at actual classes, in the current package and imported */
     if((a = find_class($1))) {
-        if(a->flags & FLAG_METHOD) {
+        if(a->access == ACCESS_PACKAGEINTERNAL &&
+           strcmp(a->package, state->package) &&
+           strcmp(a->package, internal_filename_package)
+           )
+           syntaxerror("Can't access internal %s %s in package '%s' from package '%s'",
+                infotypename(a),$1, a->package, state->package);
+
+        if(a->kind != INFOTYPE_CLASS) {
             MULTINAME(m, a);
             $$.c = abc_findpropstrict2($$.c, &m);
             $$.c = abc_getproperty2($$.c, &m);
-            if(a->function->kind == MEMBER_METHOD) {
-                $$.t = TYPE_FUNCTION(a->function);
+            if(a->kind == INFOTYPE_METHOD) {
+                methodinfo_t*f = (methodinfo_t*)a;
+                $$.t = TYPE_FUNCTION(f);
             } else {
-                $$.t = a->function->type;
+                varinfo_t*v = (varinfo_t*)a;
+                $$.t = v->type;
             }
         } else {
-            if(a->slot) {
+            classinfo_t*c = (classinfo_t*)a;
+            if(c->slot) {
                 $$.c = abc_getglobalscope($$.c);
-                $$.c = abc_getslot($$.c, a->slot);
+                $$.c = abc_getslot($$.c, c->slot);
             } else {
-                MULTINAME(m, a);
+                MULTINAME(m, c);
                 $$.c = abc_getlex2($$.c, &m);
             }
-            $$.t = TYPE_CLASS(a);
+            $$.t = TYPE_CLASS(c);
         }
         break;
     }
@@ -3118,16 +3358,15 @@ VAR_READ : T_IDENTIFIER {
     }
 }
 
-//TODO: 
-//VARIABLE : VARIABLE ".." T_IDENTIFIER // descendants
-//VARIABLE : VARIABLE "::" VARIABLE // namespace declaration
-//VARIABLE : VARIABLE "::" '[' EXPRESSION ']' // qualified expression
-
 // ----------------- namespaces -------------------------------------------------
 
 NAMESPACE_DECLARATION : MAYBE_MODIFIERS "namespace" T_IDENTIFIER {$$=0;}
 NAMESPACE_DECLARATION : MAYBE_MODIFIERS "namespace" T_IDENTIFIER '=' T_IDENTIFIER {$$=0;}
 NAMESPACE_DECLARATION : MAYBE_MODIFIERS "namespace" T_IDENTIFIER '=' T_STRING {$$=0;}
 
-USE_NAMESPACE : "use" "namespace" T_IDENTIFIER {$$=0;}
+USE_NAMESPACE : "use" "namespace" T_IDENTIFIER {
+    PASS12
+    tokenizer_register_namespace($3);
+    $$=0;
+}